A single layer hydrogen silsesquioxane (HSQ) based lift-off process for germanium and platinum

Physics – Condensed Matter – Materials Science

Scientific paper

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

Scientific paper

10.1016/j.mee.2009.11.022

Primarily used as etch mask, single layer hydrogen silsesquioxane has never been investigated for lift-off technique. In this article, we propose a new technique where a single layer of hydrogen silsesquioxane, a negative tone electron beam resist, is used to make lift-off of germanium and platinum. Removal of exposed hydrogen silsesquioxane is tested for various concentrations of hydrofluoric acid. Ultrasonic agitation is also used to reduce the formation of flakes due to accumulation of matter (evaporated metal in our case) along the sidewalls of the lift-off narrow slots. Results demonstrate potential in applying the hydrogen silsesquioxane as a negative tone lift-off resist to pattern nanometer scale features into germanium and platinum layers.

No associations

LandOfFree

Say what you really think

Search LandOfFree.com for scientists and scientific papers. Rate them and share your experience with other people.

Rating

A single layer hydrogen silsesquioxane (HSQ) based lift-off process for germanium and platinum does not yet have a rating. At this time, there are no reviews or comments for this scientific paper.

If you have personal experience with A single layer hydrogen silsesquioxane (HSQ) based lift-off process for germanium and platinum, we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and A single layer hydrogen silsesquioxane (HSQ) based lift-off process for germanium and platinum will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFWR-SCP-O-601719

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.